GSM Shop GSM Shop
GSM-Forum  

Welcome to the GSM-Forum forums.

You are currently viewing our boards as a guest which gives you limited access to view most discussions and access our other features.
Only registered members may post questions, contact other members or search our database of over 8 million posts.

Registration is fast, simple and absolutely free so please - Click to REGISTER!

If you have any problems with the registration process or your account login, please contact contact us .

Go Back   GSM-Forum > Product Support Sections > No More Supported Solutions (Dead Products) > No More Supported H/W Products > Cyclonebox


 
 
LinkBack Thread Tools Display Modes
Old 03-31-2010, 13:53   #1 (permalink)
No Life Poster
 
Join Date: Apr 2009
Location: Attock Pakistan
Posts: 566
Member: 1002064
Status: Offline
Sonork: 100.1594824
Thanks Meter: 131
6500s SL3 Contact Service help.


i did not check soft ver.(59.60) and clicked DK-SL2
in result got Contact service.

here is PM back

Code:
[308]
1=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
any help to repair it?

P.S
i did not check the version coz
MT box always prompt u regarding SL3 etc but not this time.

Last edited by ptt; 04-01-2010 at 22:04.
 
Old 03-31-2010, 14:30   #2 (permalink)
No Life Poster
 
Join Date: Jul 2008
Location: BHARAT
Age: 42
Posts: 4,314
Member: 823633
Status: Offline
Thanks Meter: 1,705
yes bro sl3 simlock not this time
only sl 3 phone super dongals repair support
 
The Following 2 Users Say Thank You to vaghubha_sodha For This Useful Post:
Old 03-31-2010, 14:43   #3 (permalink)
No Life Poster
 
moulnisky's Avatar
 
Join Date: Jan 2009
Location: England
Age: 59
Posts: 17,681
Member: 947561
Status: Offline
Thanks Meter: 14,052
Just write back the original PM120

BR

Alex
 
The Following 3 Users Say Thank You to moulnisky For This Useful Post:
Show/Hide list of the thanked
Old 03-31-2010, 14:46   #4 (permalink)
No Life Poster
 
Join Date: Apr 2009
Location: Attock Pakistan
Posts: 566
Member: 1002064
Status: Offline
Sonork: 100.1594824
Thanks Meter: 131
Can u upload it please?
sorry to not mention its orange UK.
 
Old 03-31-2010, 14:49   #5 (permalink)
No Life Poster
 
moulnisky's Avatar
 
Join Date: Jan 2009
Location: England
Age: 59
Posts: 17,681
Member: 947561
Status: Offline
Thanks Meter: 14,052
you don't have any back-ups?
 
The Following User Says Thank You to moulnisky For This Useful Post:
Old 03-31-2010, 14:51   #6 (permalink)
No Life Poster
 
Join Date: Apr 2009
Location: Attock Pakistan
Posts: 566
Member: 1002064
Status: Offline
Sonork: 100.1594824
Thanks Meter: 131
MT just make this backup nothing else
 
Old 03-31-2010, 15:43   #7 (permalink)
No Life Poster
 
..::Angel::..'s Avatar
 
Join Date: Dec 2006
Location: Karachi, Pakistan
Age: 33
Posts: 16,237
Member: 643472
Status: Offline
Sonork: 100.96901
Thanks Meter: 16,589
Quote:
Originally Posted by Indus View Post
Can u upload it please?
sorry to not mention its orange UK.
Hi,

Read PM120 and upload here.

You need to write only PM120,0

Quote:
120]
0=800000000000000023433000000000000018020000000000 0028000001FFFFFF003C000001FFFFFF000000007FFF6F07FF FFFFFFF800005003000502000000007FFF6F07FFFFFFFFF800 00530300050223433F23486FFFFF
1=5B63F366CDDA549171D30075C893662039D20810265687E1 BB71D0B71980C7A7E24B50EF3003F93C982253FDC3E4243613 BB639F30374A75222C3F3ED88DE535E64E99FCC855639C5B83 6038696C3236A47DBCE0D65F59D76E88EDBBD25596CE2E2C9A 290582BD835AC6C8E3C42FF74AFE6DE99962B9B41EC3E47D21 0FED78A953671E011D8B472A04875F8D34F5365BB444666402 A55C2B313BA2FEDB829EEE
2=6010DA5C6E7171BB48B8F6413DA8118FACE302495A509D86 40E3347BD3F090BB3CC5C381885177A267843094F86400CA74 F0C2F7E4F567C973468C6668B9FF3073A6FA801FF673100A42 D5E9B4BE9B8E99CB5B2685AA5C5C0E47FA494637681AF0B4B8 D41BC92091AC4828F27EAEB94A73802CBC8C0CCFC49157453A 834D25BE0100
3=000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000 00000000000000000000000000
 
The Following User Says Thank You to ..::Angel::.. For This Useful Post:
Old 03-31-2010, 18:45   #8 (permalink)
No Life Poster
 
Join Date: Apr 2009
Location: Attock Pakistan
Posts: 566
Member: 1002064
Status: Offline
Sonork: 100.1594824
Thanks Meter: 131
unfortunately i have followed these steps already
now my set is on the state.
Nokia logo with startup sound then white blink then shutdown.

i will write ur suggested pm filed Ali but 1st let me bring set on the previous state.

thank u guys for the response.
 
Old 03-31-2010, 18:50   #9 (permalink)
Major Poster
 
Join Date: Sep 2007
Posts: 43
Member: 595062
Status: Offline
Thanks Meter: 4
Unhappy

Quote:
Originally Posted by Indus View Post
i did not check soft ver.(59.60) and clicked DK-SL2
in result got Contact service.

here is PM back



any help to repair it?

P.S
i did not check the version coz
MT box always prompt u regarding SL3 etc but not this time.
HA bro, you show full details logo of flash file .......
or you not know 308 is protected.... not write just read info ....
i think you are clear .........
 
Old 04-01-2010, 09:52   #10 (permalink)
No Life Poster
 
Join Date: Apr 2009
Location: Attock Pakistan
Posts: 566
Member: 1002064
Status: Offline
Sonork: 100.1594824
Thanks Meter: 131
Quote:
Originally Posted by ..::Angel::.. View Post
Hi,

Read PM120 and upload here.

You need to write only PM120,0
here is full pm back after repairing IMEI.
now phone is on previous state CS.

MT box bacup pm.120 written but same condition.
 
Old 04-01-2010, 10:03   #11 (permalink)
No Life Poster
 
Join Date: Apr 2009
Location: Attock Pakistan
Posts: 566
Member: 1002064
Status: Offline
Sonork: 100.1594824
Thanks Meter: 131
sorry cant upload here it is


Code:
 [1]
0=1BC100000000000000000000000000000000000000000000000000000000FFC5FFEF000A000A0001FFCBFFBAFFC5FFBA0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000005B007D
2=0032FFE740BD4000008E005400002AF12AF12AF12AF125A120931CBC19AA171A14FE1323117910100ED90DC20CBC0BC60AF12AF12AF12AF129B9254620A21CEF19FB178F158213CC124D10FB0FE20ED10DED0D250C3C000721B400081E2300091C21
4=1B4F00000000000000000000000000000000000000000000000000000000000D00260032000D00070007FFCCFFC4FFBB0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
6=0019003240BD4000FF720051000025B221991DF31B2218931666148B12F1119910520F3B0E350D810CCD0C290B750B750B75249620661CB819D0179515AF13EC1278112F10200F020E260D930CDB0C550BDE0B750B7500021E8600031B7A000319D0
8=1B0200000000000000000000000000000000000000000000000000000000000800110011001900080008FFF1FFE3FFC30000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
13=0019003240BD4002FEE30053000029EB244920411CCC19EB174B153F137411EB10930F5C0E450D810CCD0C180B750B750B7526DE21D01DEA1B031882164F148112EC119110720F780E650DCC0D3F0C880C090B750B7500021FBC00031CC000031B03
16=0032FFE740BD4000008E005A00001DC21DC21DC21DC21DC21DC21DC21B6418C4169714AC12F1115810000ED90DC20CCD0BE71DC21DC21DC21DC21DC21DC21E211BBE1920170D153D1392122310F50FCD0EE10E120D1E00081E2100081E2100081E21
18=0019003240BD4000FF72005700001E561E561E561CAC19FB17BE15F3145A12F1119910620F4C0E970DE30D1F0C7B0C7B0C7B1E561E561DED1B96190316C814F513741234111410230F190E760DE30D120C9D0C7B0C7B00021DED00031D6D00031B96
20=0019003240BD4002FEE3005800002000200020001E351B2218A3169714ED135411DB10930F6C0EA80DE30D1F0C7B0C7B0C7B200020001EE61C6919A8177215A413E61286116010680F890EB50E1B0D8C0CC40C7B0C7B00021EE600031E5E00031C69
22=00110000001700000000006300000000
23=FFFF0000
24=0000000000000000000000000000000000000000000000000000000000000000000000000000FFFE0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
25=01CE000E
26=1BAF00000000000000000000000000000000000000000000000000000000FFBAFFEDFFF7FFF70001FFE2FFD7FFDDFFDD0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
28=0019FFE740BD4000008E00510000288228822882288223641EE91B64188316251418124E10C40F6C0E450D2F0C390B540A6E28822882288226BC22D41EA01B4418901649146512C41167102A0F1E0E180D450C690BC100071F9700081C5C00091A8D
29=00030004000400000011
31=0019FFE740BD4000008E005600001C491C491C491C491C491C491C491A1C17AE15B213D7121C10A40F6C0E450D3F0C4A0B641C491C491C491C491C491C491C6D1A4A17B515AE141D128F114610120F170E3A0D4E0C9900081C6D00081C6D00081C6D
33=000C000000000000000000000000000000000000000000A8000000000000000000000000
34=000301E700FF000528D50000000000000000000525F406030012001201A4FFFF06030012001401A0FFFF06030012001401F4FFFF06030012001601A0FFFF000000000000000000000000000000000000
40=FFEF0000000000000000004C00000000
41=000600000005042D0373FFFD0000000101CF0073003C012801A201A0148F14431448001D001B000F003BFFC000A001C0FFF02E5EFFF0FFFB002600040023000E001F0017001C001F0019002700170030001400370013003E001200460011004C00100052000F0059000E005F000D0064000D006A000D0071000B0078000A007E000800840006008A00050090000400950003009B000100A1000000A6000000ACFFFE00B1FFFD00B6FFFC00BBFFFB00C0FFFB00C4FFFB
42=00000000
43=000C000000000000000000000000000000000000000000A8000000000000000000000000
44=FFFB0000FFFD049E04BBFFFD0000FFF9018D00940019015101B60197155E150F14FF001D0017000F0052FFC200D001D0FFF03200FFF0000500480012003F001F0036002A00300032002B003B002600430022004A001F0050001C0057001A005E00170063001500690013006E0011007300100078000E007D000C0084000A00890008008E00070093000500970004009D000200A1000100A5FFFF00AAFFFE00AEFFFE00B2FFFD00B6FFFC00B9FFFB00BFFFFA00C1FFFA
[2]
0=000000000100002000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000FFFF0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000102000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
[4]
1=0400010023000000E4000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF
3=4B4C4D37303636363100
4=3035373433363200
5=3035353036363900
6=3032303333323700
9=3230303200
18=3335363835343032373636343733390055555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555
19=D9070301
28=0300
[8]
0=000000000000000002000000
1=000000000000000002000000
2=0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
3=0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
7=E0A5010080000000
8=0000000000000000
9=000000000000000000000000000000000000000000000000
[11]
0=00000000
1=00000000
2=00000000
3=00000000
4=0A
5=5D215D215D5D
6=0000
7=00
8=00
9=00
10=00
11=00
12=00
13=00
14=4700
15=0000
16=0000
17=0000
18=00000000000000000000000000000000
19=0000000000000000000000000000000000000000000000000000000000000000
20=0000000000000000000000000000000000000000000000000000000000000000
21=0000
22=0000
23=0000
24=0000
25=0000
26=0000
27=0000
28=0000
29=0000
30=0000
31=0000
32=0000
33=0000
34=0000
35=0000
36=0000
37=0000
38=0000
39=0000
40=0000
41=0000
42=0000
43=0000
44=0000
45=0000
46=0000
47=0000
48=0000
49=0000
50=0000
51=0000
52=0000
53=0000
54=0000
55=0000
56=0000
57=0000
58=0000
59=0000
60=0000
61=0000
62=0000
63=0000
64=0000
65=00000000
66=00
67=00000000
68=00000000
69=00000000
70=00000000
71=00000000000000000000000000000000
72=00000000
73=0000
74=05000000000000000000000000
[12]
0=01FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000100010001FF000000FF000000FF000000FF000000FF000000FF000000FF000000FF000000
[13]
0=00
1=0000
2=00
3=0000
4=00
5=00
6=23
7=01
8=FFFF
9=00
10=00
11=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
12=00000000
13=00
14=00
15=00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
16=0000
17=00
18=23
19=0000000000000000
20=01
21=00
22=02
23=00
24=01
25=0000
26=03
27=00
28=00
29=00
30=00
31=00
32=03
33=03
34=03
35=03
36=03
37=00000000
38=00
39=00
40=00
41=01
42=00000000
43=00000000
44=00
45=00000000
46=00000000
47=00
48=00003C00
49=00
50=FF
51=00
52=0000
53=00000000
54=00000000
55=00
56=01
57=01
58=FFFF
59=01
60=00000000
61=00000000
62=01
63=00000000
64=00000000
65=01
66=00000000
67=00000000
68=00
69=01
70=02
71=00000000
72=00000000
73=01
74=00000000
75=0000
76=0BB8
77=0BB8
78=00
79=00
80=FF
81=FF
82=FF
83=003C
84=01
85=00000000
86=00000000
87=003C
88=01
89=00000000
90=00000000
91=0078
92=01
93=00000000
94=00000000
95=0078
96=01
97=00000000
98=00000000
99=FFFF
100=00000000
101=FFFF
102=23
103=00000000
104=00000000
105=00000000
106=00000000
107=00000000
108=0000
109=00000000
110=00000000
111=00000000
112=0000
113=00000000
114=A1B0879B
115=01
116=01
117=0A
118=00000000
119=00000000
120=00
121=00
122=00
123=0000000000000000
124=00
125=00
126=01
127=01
128=01
129=00000000
130=00000000
131=00
132=01
133=0708
134=01
135=0708
136=01
137=00
138=00000000
139=00000000
140=01
141=01
142=01
143=02
144=00000000
145=0000000000000000
146=00000000
147=00000000
148=00
149=01
150=00
151=00
152=00
153=00
154=00
155=00
156=00
157=00
158=00
159=0007
160=0007
161=00
162=00
163=00
164=00
165=00
166=00
167=0000000000000000
168=FFFFFFFF
169=00
170=00
171=00
172=00
173=00
174=00
175=00
176=00
177=00000000
178=FFFF
179=00
180=07
181=14
182=00
183=01
184=00
185=01
186=01
187=00
188=00
189=01
190=00000000
191=0000
192=00
193=00000000
194=01
195=01
196=00000000000000000000
[31]
4=4943505237315F30387733300000
[42]
0=0000
1=000000000000000000000000
2=000000000000000001000000000000000000000000000000000000000000000000000001
3=000000000000000001000000000000000000000000000000000000000000000000000001
4=000000000000000001000000000000000000000000000000000000000000000000000001
5=000000000000000001000000000000000000000000000000000000000000000000000001
6=000000000000000001000000000000000000000000000000000000000000000000000001
7=00000000080000000000000008000000000000000800000000000000080000000000000008000000
8=00000000080000000000000008000000000000000800000000000000080000000000000008000000
9=00000000080000000000000008000000000000000800000000000000080000000000000008000000
10=00000000080000000000000008000000000000000800000000000000080000000000000008000000
11=00000000080000000000000008000000000000000800000000000000080000000000000008000000
12=00000000080000000000000008000000000000000800000000000000080000000000000008000000
13=00000000080000000000000008000000000000000800000000000000080000000000000008000000
14=00000000080000000000000008000000000000000800000000000000080000000000000008000000
[50]
0=0002
[54]
0=0100
[66]
0=30313032303330343035303630373038303931303131313231333134313531363138363731383737313934343139353232303231323032393230393832313036323137353231383332333239323333373234303632343134323438333234393132353630323536383236333732363435323634393236343232363433323635303236353134323031383833323733303635313732383131313331393630323033373231313432313931323236383233343532343232323439393235373632363533313133313930323637343231313838343237333133303339313936313230333832313135323139323232363932333436323432333235303032353737323635343635323732393131313434323334323434323534323735303634333031383934343334343938333035373330353833303539333036303532313133383231363239343134313231393239373435323333333033333331333333353333333633333436333334373333353233333334313633363136333731363431313634323136343331363430313635383136343431363436313635313136383531363836313638373136383831363839313639303136393131363932343631333338383534303436343233343430303833393632333936333136353334363734363834363934373034373134373234373334373434373534373634373734373800FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90BA7FD880060000007209D8AB903FF05555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555553B555555D8BC7FD8AD3750F0A47109D8555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555552F55555520BF7FD880060000007209D855555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555
1=01FF000000000000FF00000002FF000000000000FF00000003FF000000000000FF00000004FF000000000000FF00000005FF000000000000FF00000006FF000000000000FF00000007FF000000000000FF00000008FF000000000000FF00000009FF000000000000FF0000000AFF000000000000FF0000000BFF000000000000FF0000000CFF000000000000FF0000000DFF000000000000FF0000000EFF000000000000FF0000000FFF000000000000FF00000010FF000000000000FF00000011FF000000000000FF00000012FF000000000000FF00000013FF000000000000FF00000014FF000000000000FF000000
2=01FF000000000000FF00000002FF000000000000FF00000003FF000000000000FF00000004FF000000000000FF00000005FF000000000000FF00000006FF000000000000FF00000007FF000000000000FF00000008FF000000000000FF00000009FF000000000000FF0000000AFF000000000000FF0000000BFF000000000000FF0000000CFF000000000000FF0000000DFF000000000000FF0000000EFF000000000000FF0000000FFF000000000000FF00000010FF000000000000FF00000011FF000000000000FF00000012FF000000000000FF00000013FF000000000000FF00000014FF000000000000FF000000
3=00
4=00
5=01FF000000000000FF00000002FF000000000000FF00000003FF000000000000FF00000004FF000000000000FF00000005FF000000000000FF00000006FF000000000000FF00000007FF000000000000FF00000008FF000000000000FF00000009FF000000000000FF0000000AFF000000000000FF0000000BFF000000000000FF0000000CFF000000000000FF0000000DFF000000000000FF0000000EFF000000000000FF0000000FFF000000000000FF00000010FF000000000000FF00000011FF000000000000FF00000012FF000000000000FF00000013FF000000000000FF00000014FF000000000000FF000000
6=00000000
7=00000000
8=00000000
9=00000000
10=01FF000000000000FF00000002FF000000000000FF00000003FF000000000000FF00000004FF000000000000FF00000005FF000000000000FF00000006FF000000000000FF00000007FF000000000000FF00000008FF000000000000FF00000009FF000000000000FF0000000AFF000000000000FF0000000BFF000000000000FF0000000CFF000000000000FF0000000DFF000000000000FF0000000EFF000000000000FF0000000FFF000000000000FF00000010FF000000000000FF00000011FF000000000000FF00000012FF000000000000FF00000013FF000000000000FF00000014FF000000000000FF000000
11=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
12=15
16=01000000
18=01000000
20=01000000
22=01000000
24=01000000
26=01000000
28=01000000
30=01000000
32=01000000
34=01000000
77=0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006196E2DF000000000EC779FA00000000C98C2743000000007009EFE900000000D88C2F43000000005168BF5400000000B949AA0D000000000EC779FA000000001036BF540000000060EEBE5400000000BEBECFD60000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000

Last edited by ptt; 04-01-2010 at 22:02.
 
Old 04-01-2010, 11:00   #12 (permalink)
No Life Poster
 
Join Date: Apr 2009
Location: Attock Pakistan
Posts: 566
Member: 1002064
Status: Offline
Sonork: 100.1594824
Thanks Meter: 131
Quote:
Originally Posted by moulnisky View Post
you don't have any back-ups?
All i have a Temp.pm file which contain area 308 and 120

Br
 
Old 04-01-2010, 11:10   #13 (permalink)
No Life Poster
 
Join Date: Apr 2009
Location: Attock Pakistan
Posts: 566
Member: 1002064
Status: Offline
Sonork: 100.1594824
Thanks Meter: 131
here are info and self test results
MCU Version V 59.60
MCU Date 21-11-08
Product RM-240
Manufacturer (c) Nokia
IMEI 356854027664739
Mastercode 706750670
IMEI Spare 3A65580472667403
IMEI SV 3365580472667463F8000000
PPM V 59.60, 21-11-08, RM-240, (c) Nokia , O
CNT Content: c_uk_ora_uk_v2, V 59.60, 21-11-08, RM-240, (c) Nokia , ,
PSN KLM706661
Product Code 0574362
Module Code 0203327
Basic Product Code 0550669
PSD 0000000000000000
LPSN 0
RETU 15
TAHVO 22
AHNE 30
HW 2002
RFIC 17141715
DSP ICPR71_08w30
LCD SHARP
BT 2222-143
Failed to read info -> Failed to read SP info
Selftests to proceed: 36
Passed ST_TAHVOINT_TEST
Passed ST_EAR_DATA_LOOP_TEST
Passed ST_SIM_CLK_LOOP_TEST
Passed ST_SIM_IO_CTRL_LOOP_TEST
Failed ST_SIM_LOCK_TEST
Passed ST_SLEEP_X_LOOP_TEST
Passed ST_SLEEPCLK_FREQ_TEST
Passed ST_UEM_CBUS_IF_TEST
Passed ST_KEYBOARD_STUCK_TEST
Passed ST_CAMERA_IF_TEST
Passed ST_BACKUP_BATT_TEST
Passed ST_LPRF_IF_TEST
Passed ST_LPRF_AUDIO_LINES_TEST
Passed ST_CURRENT_CONS_TEST
Passed ST_LCD_TEST
Passed ST_SEC_CAMERA_IF_TEST
Passed ST_BACKLIGHT_TEST
Passed ST_SECURITY_TEST
Failed ST_PWR_KEY_TEST
Passed ST_BT_WAKEUP_TEST
Passed ST_BTEMP_TEST
Passed ST_BT_SLEEP_CLK_TEST
Passed ST_AMB_LIGHT_SENSOR_TEST
Passed ST_IVE_TEST
Passed ST_TVOUT_IF_TEST
Passed ST_EXT_DEVICE_TEST
Passed ST_CDSP_RF_BB_IF_TEST
Passed ST_CDSP_RF_SUPPLY_TEST
Passed ST_CDSP_TX_IQ_TEST
Passed ST_CDSP_TXC_DATA_TEST
Passed ST_CDSP_PWR_DETECTOR_BIAS_TEST
Passed ST_CDSP_RX_PLL_PHASE_LOCK_TEST
Passed ST_CDSP_TX_PLL_PHASE_LOCK_TEST
Passed ST_CDSP_WCDMA_TX_POWER_TEST
Passed ST_CDSP_RX_IQ_LOOP_BACK_TEST
Passed ST_CDSP_GSM_TX_POWER_TEST
Selftests done, Tests total: 36, Tests passed: 34, Tests not passed: 2
 
Old 04-01-2010, 11:49   #14 (permalink)
No Life Poster
 
..::Angel::..'s Avatar
 
Join Date: Dec 2006
Location: Karachi, Pakistan
Age: 33
Posts: 16,237
Member: 643472
Status: Offline
Sonork: 100.96901
Thanks Meter: 16,589
@Indus

You can contact me by private message - i can try to help you out via team viwer, I'm free for 30mins now. You can send me id and pass of teamviwer via PM !
 
Old 04-01-2010, 12:02   #15 (permalink)
No Life Poster
 
shaazad's Avatar
 
Join Date: Oct 2009
Location: FAISALABAD, PAKISTAN
Posts: 619
Member: 1146078
Status: Offline
Thanks Meter: 96
only write 120 pm .............................
 
 

Bookmarks

Thread Tools
Display Modes

Posting Rules
You may not post new threads
You may not post replies
You may not post attachments
You may not edit your posts

BB code is On
Smilies are On
[IMG] code is On
HTML code is Off
Trackbacks are On
Pingbacks are On
Refbacks are On


Similar Threads
Thread Thread Starter Forum Replies Last Post
help sl3 contact service ciluk ba Nokia Base Band 5 ( BB-5 ) 2 03-09-2010 09:14
need help 6500s sll3 contact service hoba0073 Nokia Base Band 5 ( BB-5 ) 0 11-10-2009 15:54
5310 sl3 contact service plz hlp saeed ahmed Nokia Base Band 5 ( BB-5 ) 3 05-12-2009 22:12
Can any one tell about the coming sl3 contact service solution usman_ali Nokia Base Band 5 ( BB-5 ) 6 04-08-2009 22:11

 



All times are GMT +1. The time now is 14:39.



Powered by Searchlight © 2024 Axivo Inc.
vBulletin Optimisation provided by vB Optimise (Pro) - vBulletin Mods & Addons Copyright © 2024 DragonByte Technologies Ltd.
- GSM Hosting Ltd. - 1999-2023 -
Page generated in 0.43742 seconds with 9 queries

SEO by vBSEO